corrected math logic

This commit is contained in:
filux 2016-09-13 21:08:02 +02:00
parent c3f0fd5b62
commit f40ac1d89f
2 changed files with 3 additions and 3 deletions

@ -1 +1 @@
Subproject commit 3d89a45791b3bbfb0e7c4a30b04f9bfa1f18cca9
Subproject commit a0a41b23c9e4bcb5b1c4ac5be599519e1daba075

View File

@ -2049,7 +2049,7 @@ void Unit::born(const CommandType *ct) {
this->hp= type->getStartHpValue();
}
else {
this->hp= type->getTotalMaxHp(&totalUpgrade) * 100 / type->getStartHpPercentage();
this->hp= type->getTotalMaxHp(&totalUpgrade) * type->getStartHpPercentage() / 100;
}
if(original_hp != this->hp) {
@ -2065,7 +2065,7 @@ void Unit::born(const CommandType *ct) {
this->ep= type->getStartEpValue();
}
else {
this->ep= type->getTotalMaxEp(&totalUpgrade) * 100 / type->getStartEpPercentage();
this->ep= type->getTotalMaxEp(&totalUpgrade) * type->getStartEpPercentage() / 100;
}
}